ich vermute einfach mal, das es eine total einfache sache ist, aber ich bekomm es einfach nciht auf die reihe. ich lese mit textio ein bit aus einer datei, diesen ausgelesenen wert möchte ich einen std_logic zuweisen. wie mach ich das nun, diese umsetzung? ich will kein std_ulogic haben, damit ist das ja einfach... ich danke für eure hilfe liebe grüsse aus delmenhorst frecher
Re: Umsetzung von bit nach std_logic
Hi, da die Umwandlung von bit -> std_logic nicht erlaubt ist muß man einen kleien Trick anwenden.
Und zwar muß du dein bit-Signal erst in einen bit_vector umwandeln. Und dann nach std_logic_vector konvertieren. Und dann den std_logic_vector auf std_logic zuweisen.
z.B.: entity...
A: in bit; Y: out std_logic; ...
architecture... signal A1: bit_vector(0 downto 0); signal Y1: std_logic_vector(0 downto 0); begin A1(0) <= A; Y1 <= To_StdLogicVector(A1) Y <= Y1(0);