VHDL-Forum - ModelSim

general imperativeness